登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 聯絡我們  | 運費計算  | 幫助中心 |  加入書簽
會員登入 新註冊 | 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類閱讀雜誌 香港/國際用戶
最新/最熱/最齊全的簡體書網 品種:超過100萬種書,正品正价,放心網購,悭钱省心 送貨:速遞 / EMS,時效:出貨後2-3日
大書城 以“ 精确 模式”搜“ 王金明 王婧菡 ”共有 23 結果: 支援简体 / 繁體 / 正體字輸入搜索
数字电子技术与Verilog HDL 数字电子技术与Verilog HDL
作者:王金  出版:清华大学出版社  日期:2024-06-01
(1)内容紧贴教学实际,重视基础,面向应用,注重理论联系实际。 (2)注重强调经典数字电子技术基础知识的系统性、完整性。 (3)融入现代 EDA 技术和 Verilog HDL 语言的相关内容。 ...
詳情>>
售價:NT$ 359

Verilog HDL程序设计教程(第2版) Verilog HDL程序设计教程(第2版)
作者:王金   出版:人民邮电出版社  日期:2024-09-01
依据Verilog-2001和Verlog-2005语言标准,精讲语言,全面梳理, 按语言本身体系编排内容,涵盖所有常用语法规则,既适合作为语法资料查询,也适合有一定设计基础的读者学习提高。 ●精 ...
詳情>>
售價:NT$ 407

EDA技术与VHDL设计(第3版) EDA技术与VHDL设计(第3版)
作者:王金明  出版:电子工业出版社  日期:2022-10-01
本书根据电子信息类课程教学和实验要求,以提高学生的实践动手能力和工程设计能力为目的,对EDA技术和VHDL设计的相关知识进行系统和完整的介绍。全书以Quartus Prime、ModelSim软件为工具,以VHDL-1993和VHDL-2008语言标准为依据,以可综合的设计为重点,通过诸多精选设计案 ...
詳情>>
售價:NT$ 405

Verilog HDL实用教程 Verilog HDL实用教程
作者:王金明  出版:电子工业出版社  日期:2023-01-01
本书系统讲解Verilog HDL语言规则、语法体系,以Verilog-2001和Verilog-2005两种语言标准为依据,精讲语言,全面梳理,知识点系统全面。本书立足语言本身,按照语言体系编排内容,涵盖所有常用语法规则,补充Verilog-2005中新的语言点,既适合作为必备语法资料查询,也适合 ...
詳情>>
售價:NT$ 400

数字系统设计与VHDL(第3版) 数字系统设计与VHDL(第3版)
作者:王金明  出版:电子工业出版社  日期:2024-07-01
...
詳情>>
售價:NT$ 387

EDA技术与Verilog HDL EDA技术与Verilog HDL
作者:王金明  出版:清华大学出版社  日期:2021-04-01
(1) 按器件软件语言案例展开,内容由浅入深,举例恰当丰富,富有启发性。 (2) 以Vivado、ModelSim典型软件为工具,以Verilog-1995和Verilog-2001两个语言标准为依 ...
詳情>>
售價:NT$ 400

Verilog HDL设计实例手册 Verilog HDL设计实例手册
作者:王金 等  出版:电子工业出版社  日期:2022-06-01
本书以Intel的FPGA芯片为目标器件,以Quartus Prime、Platform Designer(PD)、Nios II-Eclipse为软件工具,以Verilog HDL为设计语言,选择C4_MB“口袋实验板”为目标板,通过精选设计案例,诠释用FPGA实现数字系统设计的思路与方法。本书的 ...
詳情>>
售價:NT$ 516

EDA技术与Verilog设计(第2版) EDA技术与Verilog设计(第2版)
作者:王金明  出版:电子工业出版社  日期:2019-01-01
? 教辅配套齐全,便于教学提供电子课件、实验与设计和部分程序代码。? 注重基础 内容包括EDA技术概述、FPGACPLD器件基础和Verilog数字系统设计,以Quartus Prime、Synpli ...
詳情>>
售價:NT$ 347

数字系统设计与Verilog HDL(Vivado版) 数字系统设计与Verilog HDL(Vivado版)
作者:王金明  出版:电子工业出版社  日期:2020-03-01
长销近20年、20余万册的教材《数字系统设计与Verilog HDL》的Vivado版本,内容全面更新。 ...
詳情>>
售價:NT$ 354

中国经济周期波动的监测和预警方法及其应用 中国经济周期波动的监测和预警方法及其应用
作者:王金明  出版:科学出版社  日期:2020-12-01
本书是笔者在经济周期波动领域多年研究取得的成果基础上,结合我国经济结构转变的时代背景进行的系统性研究。首先,本书介绍经济周期波动理论和监测方法的发展历程、合成指数传统的和当代的计算方法等,并基于选择的景气指标,从增长率周期和增长周期等不同角度计算合成指数,识别经济周期转折点和监测经济运行;其次,本书 ...
詳情>>
售價:NT$ 690

FPGA设计与VHDL实现 FPGA设计与VHDL实现
作者:王金明  出版:电子工业出版社  日期:2021-01-01
本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGACPLD器件、VHDL硬件描述语言等。全书以Quartus Prime、ModelSim等软件为平台,以VHDL87和VHDL93语言标准为依据,基于DE2-115实验平 ...
詳情>>
售價:NT$ 347

数字系统设计与Verilog HDL(第8版) 数字系统设计与Verilog HDL(第8版)
作者:王金明  出版:电子工业出版社  日期:2021-01-01
本书根据EDA课程教学要求,以提高数字系统设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGACPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、ModelSim软件为工具,以Verilog-1995和Verilog-2001语言标准 ...
詳情>>
售價:NT$ 336

FPGA设计与Verilog HDL实现 FPGA设计与Verilog HDL实现
作者:王金 编  出版:电子工业出版社  日期:2021-01-01
本书根据EDA课程教学要求,以提高数字系统设计能力为目标,系统阐述FPGA数字开发的相关知识,主要内容包括EDA技术概述、FPGACPLD器件结构、Quartus Prime使用指南、Verilog设计初步、Verilog语言要素、Verilog语句语法、Verilog设计的层次与风格、Verilo ...
詳情>>
售價:NT$ 377

中国黍稷栽培 中国黍稷栽培
作者:刘小进,马春红,王金  出版:中国农业科学技术出版社  日期:2019-02-01
黍稷学名为黍PanicummiliaceumL.,禾本科Gramineae黍属一年生草本植物。粳者古称稷、穄,现称稷子、糜子;糯者古称黍,现称黍子、粘糜子或黄粟。一种早熟、耐旱的粮食和饲料作物。黍稷起源于中国。在陕西、山西、甘肃、新疆和黑龙江等地均有栽培。本书主要介绍了我国黍稷主产区的栽培方法。 ...
詳情>>
售價:NT$ 378

经济周期波动分析与预测方法(第2版) 经济周期波动分析与预测方法(第2版)
作者:高铁梅,陈磊,王金,张同斌  出版:清华大学出版社  日期:2020-09-01
高铁梅、陈磊、王金、张同斌编著的这本《经 济周期波动分析与预测方法(第2版)》系统地介绍了 国内外经济周期波动研究的进展、相关理论及多种实 用的经济周期波动测定、分析与预测的计量方法,介 绍了经济周期波动研究的一些重要的拓展研究问题, 以及作者的*研究成果。本书作者都具有多年从事 经济周期波动分析 ...
詳情>>
售價:NT$ 414

计量经济分析方法与建模——EViews应用及实例(第4版)·初级 计量经济分析方法与建模——EViews应用及实例(第4版)·初级
作者:高铁梅,王金,刘玉红,康书隆  出版:清华大学出版社  日期:2020-09-01
本书是经典教材改版,作者权威,内容翔实,侧重应用,配套齐全,方便教学。 ...
詳情>>
售價:NT$ 270

师生四王-王孟奇、王金明、王淼田、王伯揆作品集 师生四王-王孟奇、王金、王淼田、王伯揆作品集
作者:王孟奇,王金,王淼田  出版:北京工艺美术出版社  日期:2015-07-01
本书为王孟奇、王金、王淼田、王英钢作品集,因为都姓王,所以叫”四王“,因为他们是师生关系,所以又称为师生“四王”。王孟奇为国家画院人物画工作室导师,王金、王淼田、王英钢是他的入室弟子,却分别以山水、人物、花鸟各擅胜场。本书是他们全国巡回展的作品集,是一本高水平的可供借鉴和研习的好书。 ...
詳情>>
售價:NT$ 1643

EDA技术与Verilog HDL设计 EDA技术与Verilog HDL设计
作者:王金明  出版:电子工业出版社  日期:2013-07-01
本书根据电子类课程课堂教学和实验的要求,以提高学生的动手实践能力和工程设计能力为目的,对EDA技术和FPGA设计的相关知识进行系统和完整的介绍。本书内容新颖,技术先进,由浅入深,既有关于EDA技术、FPGA器件和Verilog硬件描述语言的系统介绍,又有丰富的设计实例。本书配套多媒体电子课件、实验与 ...
詳情>>
售價:NT$ 419

数字系统设计与Verilog HDL(第5版) 数字系统设计与Verilog HDL(第5版)
作者:王金明  出版:电子工业出版社  日期:2014-07-01
全书结构和内容做了优化,增加了试验与设计的环节,便于实践教学;重视基础,面向应用,紧密联系实际;阐述力求准确、简约,避免烦琐,以做到深入浅出;所有举例均经过综合工具或仿真工具的验证。本书与《数字系统设 ...
詳情>>
售價:NT$ 441

数字系统设计与Verilog HDL(第6版) 数字系统设计与Verilog HDL(第6版)
作者:王金明  出版:电子工业出版社  日期:2016-10-01
本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGACPLD器件、Verilog硬件描述语言等。全书以Quartus Prime、Synplify Pro软件为平台,以Verilog1995和Verilog2001语言标准 ...
詳情>>
售價:NT$ 374

>>> 後一頁 尾頁 (頁碼:1/2 行數:20/23) 1  2